حامی فایل

مرجع دانلود فایل ,تحقیق , پروژه , پایان نامه , فایل فلش گوشی

حامی فایل

مرجع دانلود فایل ,تحقیق , پروژه , پایان نامه , فایل فلش گوشی

تحقیق و بررسی در مورد زبان توصیف سخت افزارVHDL 22 ص

اختصاصی از حامی فایل تحقیق و بررسی در مورد زبان توصیف سخت افزارVHDL 22 ص دانلود با لینک مستقیم و پر سرعت .

لینک دانلود و خرید پایین توضیحات

فرمت فایل word  و قابل ویرایش و پرینت

تعداد صفحات: 22

 

زبان توصیف سخت افزارVHDL

VHDL زبان توصیف سخت افزاری برای طراحی مدارات دیجیتال می باشد. و مانند سایر زبانهای برنامه نویسی دارای دستورات خاص خود می باشد.

برای یادگیری زبان VHDL دانستن جبر بول و آشنایی با مدارات منطقی ضروری است. لذا ما فرض می کنیم که دوستان با دو مقوله فوق آشنایی کافی دارند.

و اما یادگیری VHDL چه مزایایی دارد. قبل از هرچیز باید گفت که هدف از یادگیری VHDL خصوصاْ در ایران طراحی مدارات دیجیتال و پیاده سازی در FPGA می باشد هرچند مدار طراحی شده را بصورت ASIC (آی سی خاص) نیز می توان پیاده سازی نمود اما غالباْ مدارات دیجیتال با هدف پیاده سازی در FPGA طراحی می شوند.

برای یادگیری VHDL در این مقطع نیاز به نرم افزاری برای شبیه سازی مدارات طراحی شده خواهیم داشت. نرم افزارهای زیادی برای این منظور وجود دارند اما بهترین و آسانترین انها Active-HDL می باشد که نتایج شبیه سازی را بصورت شکل موجهای گرافیکی نمایش می دهد. و در عین حال کار کردی آسان دارد.

تاریخچه

نام VHDL شامل دو بخش V و HDL به معنیVHSIC : Very High Speed Integrated Circuits

HDL : Hardware Description Language

استاندارد IEEE 1076-1987

استاندارد IEEE 1076-1993

Verilog و ABEL (Advanced Boolean Equation Language )

ویژگی ها

HDLها ذاتا موازی (همروند) هستند

ترتیب دستورات مهم نیست

مبتنی بر رخداد

امکان استفاده از دستورات ترتیبی را نیز دارد

اهداف اساسی

مستند سازی : نگهداری، ارائه، تبادل، استفاده مجدد

شبیه سازی : بررسی نتایج و ارزیابی

سنتز : با هدف پیاده سازی در FPGA یا بصورت ASIC

اجرا= شبیه سازی

نیازمندی ها

حداقل نیازمندی ها برای یادگیری زبان VHDL

دانستن جبر بول و آشنایی با مدارات منطقی

داشتن ابزار CAD مناسب

توصیف رفتاری و ساختاری

سه حوزه رفتاری، ساختاری و هندسی (فیزیکی) داریم

VHDL : امکان توصیف طرح بصورت رفتاری و ساختاری

نکته: توصیف رفتاری نسبت به توصیف ساختاری از سطح انتزاع بالاتری برخوردار است

توصیف رفتاری :

رفتار سیستم چیست؟

رابطه بین سیگنال های ورودی و خروجی

توصیف رفتاری :

انتقال ثبات یا Data Flow (معمولا بر اساس جملات همروند)

الگوریتمی Algorithmic(با استفاده از دستورات ترتیبی)

مثال ساده

مدار هشدار باز بودن کمربند و درب خودرو

Warning = Ignition_on AND (Door_open OR Seatbelt_off)

Ignition_on : سویچ در جایش قرار گرفته

Door_open : باز بودن درب خودرو

Seatbelt_off : کمربند بسته نشده

Warning : خروجی هشدار به سرنشین خودرو

توصیف ساختاری :

مجموعه ای از مولفه ها (دروازه ها)

و اتصالات بین آنها

توصیف ساختاری :

مشابه شماتیک

نزدیک به تحقق فیزیکی سیستم

ساختار کلی یک فایل VHDL

یک توصیف VHDL شامل

Entity declaration

Architecture body

تعریف entity در حقیقت معرفی سیگنالهای ورودی و خروجی است

architecture رابطه بین سیگنالهای ورودی و خروجی است

(عملکرد/ساختار)

بخش Entity

NAME_OF_ENTITY : یک شناسه اختیاری

signal_names : سیگنالهای ورودی یا خروجی

mode :یکی از موارد (in،out ،buffer ، Inout )


دانلود با لینک مستقیم


تحقیق و بررسی در مورد زبان توصیف سخت افزارVHDL 22 ص

تحقیق درباره زبان توصیف سخت افزارVHDL

اختصاصی از حامی فایل تحقیق درباره زبان توصیف سخت افزارVHDL دانلود با لینک مستقیم و پر سرعت .

دسته بندی : برق و الکترونیک مخابرات

فرمت فایل :  Doc ( قابلیت ویرایش و آماده چاپ ) Word


قسمتی از محتوی متن ...

 

تعداد صفحات : 22 صفحه

زبان توصیف سخت افزارVHDL VHDL زبان توصیف سخت افزاری برای طراحی مدارات دیجیتال می باشد.
و مانند سایر زبانهای برنامه نویسی دارای دستورات خاص خود می باشد.
برای یادگیری زبان VHDL دانستن جبر بول و آشنایی با مدارات منطقی ضروری است.
لذا ما فرض می کنیم که دوستان با دو مقوله فوق آشنایی کافی دارند.
و اما یادگیری VHDL چه مزایایی دارد.
قبل از هرچیز باید گفت که هدف از یادگیری VHDL خصوصاْ در ایران طراحی مدارات دیجیتال و پیاده سازی در FPGA می باشد هرچند مدار طراحی شده را بصورت ASIC (آی سی خاص) نیز می توان پیاده سازی نمود اما غالباْ مدارات دیجیتال با هدف پیاده سازی در FPGA طراحی می شوند.
برای یادگیری VHDL در این مقطع نیاز به نرم افزاری برای شبیه سازی مدارات طراحی شده خواهیم داشت.
نرم افزارهای زیادی برای این منظور وجود دارند اما بهترین و آسانترین انها Active-HDL می باشد که نتایج شبیه سازی را بصورت شکل موجهای گرافیکی نمایش می دهد.
و در عین حال کار کردی آسان دارد.
تاریخچه نام VHDL شامل دو بخش V و HDL به معنیVHSIC : Very High Speed Integrated Circuits HDL : Hardware Description Language استاندارد IEEE 1076-1987 استاندارد IEEE 1076-1993 Verilog و ABEL (Advanced Boolean Equation Language ) ویژگی ها HDLها ذاتا موازی (همروند) هستند ترتیب دستورات مهم نیست مبتنی بر رخداد امکان استفاده از دستورات ترتیبی را نیز دارد اهداف اساسی مستند سازی : نگهداری، ارائه، تبادل، استفاده مجدد شبیه سازی : بررسی نتایج و ارزیابی سنتز : با هدف پیاده سازی در FPGA یا بصورت ASIC اجرا= شبیه سازی نیازمندی ها حداقل نیازمندی ها برای یادگیری زبان VHDL دانستن جبر بول و آشنایی با مدارات منطقی داشتن ابزار CAD مناسب توصیف رفتاری و ساختاری سه حوزه رفتاری، ساختاری و هندسی (فیزیکی) داریم VHDL : امکان توصیف طرح بصورت رفتاری و ساختاری نکته: توصیف رفتاری نسبت به توصیف ساختاری از سطح انتزاع بالاتری برخوردار است توصیف رفتاری : رفتار سیستم چیست؟
رابطه بین سیگنال های ورودی و خروجی توصیف رفتاری : انتقال ثبات یا Data Flow (معمولا بر اساس جملات همروند) الگوریتمی Algorithmic(با استفاده از دستورات ترتیبی) مثال ساده مدار هشدار باز بودن کمربند و درب خودرو Warning = Ignition_on AND (Door_open OR Seatbelt_off) Ignition_on : سویچ در جایش قرار گرفته Door_open : باز بودن درب خودرو Seatbelt_off : کمربند بسته نشده Warning : خروجی هشدار به سرنشین خودرو توصیف ساختاری : مجموعه ای از مولفه ها (دروازه ها) و اتصالات بین آنها توصیف ساختاری : مشابه شماتیک نزدیک به تحقق فیزیکی سیستم ساختار کلی یک فایل VHDL یک توصیف VHDL شامل Entity declaration Architecture body تعریف entity در حقیقت معرفی سیگنالهای ورودی و خروجی است architecture رابطه بین سیگنالهای ورودی و خروجی است (عملکرد/ساختار) بخش Entity NAME_OF_ENTITY : یک شناسه اختیاری signal_names : سیگنالهای ورودی یا خروجی mode :یکی از موارد (in،out ،buffer ، Inout ) mode In :سیگنال ورودی out : سیگنال خروجی (فقط توسط یک entity دیگر قابل استفاده) buffer : خروجی که می تواند در داخل entity نیز استفاده شود Inout : سیگنا ل دوطرفه (ورودی / خروجی) Type نوع سیگنال را مشخص می کند ( استاندارد یا User Defined) Bit : می تواند مقدار صفر یا یک داشته باشد Bit_vector : برداری از مقادیر بیتی است Boolean : می تواند مقدار true یا false بگیرد.

Integer : عدد صحیح Real : عدد حقیقی Character : هرکاراکتر قابل چاپ Time : برا ی نمایش زمان بکار می رود.
مثال Entity تعریف entity برای یک نیم جمع کننده بخش Architecture توصیف سیستم بصورت رفتاری یا ساختاری است قالب کلی تعریف Architecture به شکل زیر است مدل رفتاری (Behavioral model) مستقل از ساختار داخلی ارتباط بین ورودی ها و خروجی ها بصورت عملیاتی توصیف می شود .

متن بالا فقط تکه هایی از متن به صورت نمونه در این صفحه درج شده است.شما بعد از پرداخت آنلاین فایل را فورا دانلود نمایید

بعد از پرداخت ، لینک دانلود را دریافت می کنید و ۱ لینک هم برای ایمیل شما به صورت اتوماتیک ارسال خواهد شد.


دانلود با لینک مستقیم


تحقیق درباره زبان توصیف سخت افزارVHDL

دانلود تحقیق زبان توصیف سخت افزارVHDL

اختصاصی از حامی فایل دانلود تحقیق زبان توصیف سخت افزارVHDL دانلود با لینک مستقیم و پر سرعت .

دانلود تحقیق زبان توصیف سخت افزارVHDL


دانلود تحقیق زبان توصیف سخت افزارVHDL

دسته بندی : برق، الکترونیک، مخابرات

فرمت فایل:  Image result for word ( قابلیت ویرایش و آماده چاپ

حجم فایل:  (در قسمت پایین صفحه درج شده )

فروشگاه کتاب : مرجع فایل 

 


 قسمتی از محتوای متن ( در صورتی که متن زیر شکل نامناسبی دارد از ورد کپی شده )

زبان توصیف سخت افزارVHDL VHDL زبان توصیف سخت افزاری برای طراحی مدارات دیجیتال می باشد. و مانند سایر زبانهای برنامه نویسی دارای دستورات خاص خود می باشد. برای یادگیری زبان VHDL دانستن جبر بول و آشنایی با مدارات منطقی ضروری است. لذا ما فرض می کنیم که دوستان با دو مقوله فوق آشنایی کافی دارند. و اما یادگیری VHDL چه مزایایی دارد. قبل از هرچیز باید گفت که هدف از یادگیری VHDL خصوصاْ در ایران طراحی مدارات دیجیتال و پیاده سازی در FPGA می باشد هرچند مدار طراحی شده را بصورت ASIC (آی سی خاص) نیز می توان پیاده سازی نمود اما غالباْ مدارات دیجیتال با هدف پیاده سازی در FPGA طراحی می شوند. برای یادگیری VHDL در این مقطع نیاز به نرم افزاری برای شبیه سازی مدارات طراحی شده خواهیم داشت. نرم افزارهای زیادی برای این منظور وجود دارند اما بهترین و آسانترین انها Active-HDL می باشد که نتایج شبیه سازی را بصورت شکل موجهای گرافیکی نمایش می دهد. و در عین حال کار کردی آسان دارد. تاریخچه نام VHDL شامل دو بخش V و HDL به معنیVHSIC : Very High Speed Integrated Circuits HDL : Hardware Description Language استاندارد IEEE 1076-1987 استاندارد IEEE 1076-1993 Verilog و ABEL (Advanced Boolean Equation Language ) ویژگی ها HDLها ذاتا موازی (همروند) هستند ترتیب دستورات مهم نیست مبتنی بر رخداد امکان استفاده از دستورات ترتیبی را نیز دارد اهداف اساسی مستند سازی : نگهداری، ارائه، تبادل، استفاده مجدد شبیه سازی : بررسی نتایج و ارزیابی سنتز : با هدف پیاده سازی در FPGA یا بصورت ASIC اجرا= شبیه سازی نیازمندی ها حداقل نیازمندی ها برای یادگیری زبان VHDL دانستن جبر بول و آشنایی با مدارات منطقی داشتن ابزار CAD مناسب توصیف رفتاری و ساختاری سه حوزه رفتاری، ساختاری و هندسی (فیزیکی) داریم VHDL : امکان توصیف طرح بصورت رفتاری و ساختاری نکته: توصیف رفتاری نسبت به توصیف ساختاری از سطح انتزاع بالاتری برخوردار است توصیف رفتاری : رفتار سیستم چیست؟ رابطه بین سیگنال های ورودی و خروجی توصیف رفتاری : انتقال ثبات یا Data Flow (معمولا بر اساس جملات همروند) الگوریتمی Algorithmic(با استفاده از دستورات ترتیبی) مثال ساده مدار هشدار باز بودن کمربند و درب خودرو Warning = Ignition_on AND (Door_open OR Seatbelt_off) Ignition_on : سویچ در جایش قرار گرفته Door_open : باز بودن درب خودرو Seatbelt_off : کمربند بسته نشده Warning : خروجی هشدار به سرنشین خودرو توصیف ساختاری : مجموعه ای از مولفه ها (دروازه ها) و اتصالات بین آنها توصیف ساختاری : مشابه شماتیک نزدیک به تحقق فیزیکی سیستم ساختار کلی یک فایل VHDL یک توصیف VHDL شامل Entity declaration Architecture body تعریف entity در حقیقت معرفی سیگنالهای ورودی و خروجی است architecture رابطه بین سیگنالهای ورودی و خروجی است (عملکرد/ساختار) بخش Entity NA

تعداد صفحات : 22 صفحه

  متن کامل را می توانید بعد از پرداخت آنلاین ، آنی دانلود نمائید، چون فقط تکه هایی از متن به صورت نمونه در این صفحه درج شده است.

پس از پرداخت، لینک دانلود را دریافت می کنید و ۱ لینک هم برای ایمیل شما به صورت اتوماتیک ارسال خواهد شد.

 
« پشتیبانی فروشگاه مرجع فایل این امکان را برای شما فراهم میکند تا فایل خود را با خیال راحت و آسوده دانلود نمایید »
/images/spilit.png
 

دانلود با لینک مستقیم


دانلود تحقیق زبان توصیف سخت افزارVHDL

دانلود مقاله زبان توصیف سخت افزارVHDL

اختصاصی از حامی فایل دانلود مقاله زبان توصیف سخت افزارVHDL دانلود با لینک مستقیم و پر سرعت .

 

 

زبان توصیف سخت افزاری برای طراحی مدارات دیجیتال می باشد. و مانند سایر زبانهای برنامه نویسی دارای دستورات خاص خود می باشد.
برای یادگیری زبان VHDL دانستن جبر بول و آشنایی با مدارات منطقی ضروری است. لذا ما فرض می کنیم که دوستان با دو مقوله فوق آشنایی کافی دارند.
و اما یادگیری VHDL چه مزایایی دارد. قبل از هرچیز باید گفت که هدف از یادگیری VHDL خصوصاْ در ایران طراحی مدارات دیجیتال و پیاده سازی در FPGA می باشد هرچند مدار طراحی شده را بصورت ASIC (آی سی خاص) نیز می توان پیاده سازی نمود اما غالباْ مدارات دیجیتال با هدف پیاده سازی در FPGA طراحی می شوند.
برای یادگیری VHDL در این مقطع نیاز به نرم افزاری برای شبیه سازی مدارات طراحی شده خواهیم داشت. نرم افزارهای زیادی برای این منظور وجود دارند اما بهترین و آسانترین انها Active-HDL می باشد که نتایج شبیه سازی را بصورت شکل موجهای گرافیکی نمایش می دهد. و در عین حال کار کردی آسان دارد.
تاریخچه
نام VHDL شامل دو بخش V و HDL به معنیVHSIC : Very High Speed Integrated Circuits
HDL : Hardware Description Language
استاندارد IEEE 1076-1987
استاندارد IEEE 1076-1993
Verilog و ABEL (Advanced Boolean Equation Language )
ویژگی ها
HDLها ذاتا موازی (همروند) هستند
 ترتیب دستورات مهم نیست
 مبتنی بر رخداد
 امکان استفاده از دستورات ترتیبی را نیز دارد
اهداف اساسی
 مستند سازی : نگهداری، ارائه، تبادل، استفاده مجدد
 شبیه سازی : بررسی نتایج و ارزیابی
 سنتز : با هدف پیاده سازی در FPGA یا بصورت ASIC
اجرا= شبیه سازی

 

نیازمندی ها
حداقل نیازمندی ها برای یادگیری زبان VHDL
 دانستن جبر بول و آشنایی با مدارات منطقی
 داشتن ابزار CAD مناسب
توصیف رفتاری و ساختاری
سه حوزه رفتاری، ساختاری و هندسی (فیزیکی) داریم
VHDL : امکان توصیف طرح بصورت رفتاری و ساختاری
نکته: توصیف رفتاری نسبت به توصیف ساختاری از سطح انتزاع بالاتری برخوردار است

توصیف رفتاری :
 رفتار سیستم چیست؟
 رابطه بین سیگنال های ورودی و خروجی
توصیف رفتاری :
 انتقال ثبات یا Data Flow (معمولا بر اساس جملات همروند)
 الگوریتمی Algorithmic(با استفاده از دستورات ترتیبی)
مثال ساده
مدار هشدار باز بودن کمربند و درب خودرو
Warning = Ignition_on AND (Door_open OR Seatbelt_off)
Ignition_on : سویچ در جایش قرار گرفته
Door_open : باز بودن درب خودرو
Seatbelt_off : کمربند بسته نشده
Warning : خروجی هشدار به سرنشین خودرو
توصیف ساختاری :
 مجموعه ای از مولفه ها (دروازه ها)
 و اتصالات بین آنها

توصیف ساختاری :
 مشابه شماتیک
 نزدیک به تحقق فیزیکی سیستم
ساختار کلی یک فایل VHDL
یک توصیف VHDL شامل
 Entity declaration
 Architecture body
تعریف entity در حقیقت معرفی سیگنالهای ورودی و خروجی است
architecture رابطه بین سیگنالهای ورودی و خروجی است
(عملکرد/ساختار)
بخش Entity
NAME_OF_ENTITY : یک شناسه اختیاری
signal_names : سیگنالهای ورودی یا خروجی
mode :یکی از موارد (in،out ،buffer ، Inout )
mode

In :سیگنال ورودی
out : سیگنال خروجی (فقط توسط یک entity دیگر قابل استفاده)
buffer : خروجی که می تواند در داخل entity نیز استفاده شود
Inout : سیگنا ل دوطرفه (ورودی / خروجی)
Type
نوع سیگنال را مشخص می کند ( استاندارد یا User Defined)
 Bit : می تواند مقدار صفر یا یک داشته باشد
 Bit_vector : برداری از مقادیر بیتی است
 Boolean : می تواند مقدار true یا false بگیرد.
 Integer : عدد صحیح
 Real : عدد حقیقی
 Character : هرکاراکتر قابل چاپ
 Time : برا ی نمایش زمان بکار می رود.
مثال Entity
تعریف entity برای یک نیم جمع کننده


بخش Architecture
توصیف سیستم بصورت رفتاری یا ساختاری است
قالب کلی تعریف Architecture به شکل زیر است

مدل رفتاری (Behavioral model)
مستقل از ساختار داخلی ارتباط بین ورودی ها و خروجی ها بصورت عملیاتی توصیف می شود .
مثال(1): AND دو ورودی

 

 

 

مدل رفتاری (Behavioral model)

مثال(1): XOR دو ورودی

 

هم روندی
یکی از خصوصیات زبانهای توصیف سخت افزار
دستورالعملهای انتساب سیگنال ( <= )
وقتی اجرا می شود که یک سیگنال در سمت راست جمله مقدارش تغییرکند
تغییر در مقدار یک سیگنال را یک رخداد می نامیم
VHDL یک زبان مبتنی بر رخداد
در مثال(2) ترتیب نوشتن جملات مهم نیست. یعنی می توان جملات x و y و z را بترتیب دلخواه جابجا کرد.
زمانبندی رخداد ها
مکانیزم ایجاد تاخیر در انتساب مقدار جدید به سیگنال سمت چپ
با استفاده از کلمه کلیدی after
مدل کردن تاخیر های یک مدار واقعی را مدل
این قابلیت شبیه سازی مدار را به واقعیت نزدیک تر می کند
مثال
توصیف رفتاری مدار Half Adder

طراحی سلسله مراتبی
در مدلسازی ساختاری
 هر Entity می تواند بعنوان یک مولفه در توصیف Entity دیگر بکار رود
 این امر می تواند در سطوح مختلف تکرار شود
طراحی سلسله مراتبی موجب کاهش پیچیدگی در طراحی مدارات بزرگ می شود
معمولا در طرح هایی که با تکرار قابل گسترش اند کاربرد دارد

 

جمع کننده چهار بیتی
sum = (A  B)  C
carry = AB + C(A  B)

چند نکته
استفاده از نام پورت مشترک برای Entity های متداخل مجاز است
چون Cout بصورت خروجی تعریف شده نمیتواند بعنوان ورودی استفاده شود
چون c(4) یک سیگنال داخلی است میتواند بعنوان ورودی استفاده شود.
میتواند بخشی از توصیف طرح ساختاری و بخشی رفتاری باشد مانند Cout و V در مثال قبل
کتابخانه و بسته ها
کتابخانه امکان استفاده مشترک از موارد از پیش تعریف شده را فراهم می کند. مانند
data type
component
Function
Procedure
هر کتابخانه شامل تعدادی بسته است
نوع std_logic در بسته ieee.std_logic_1164 در کتابخانه ieee تعریف شده است
library ieee;
use ieee.std_logic_1164.all;
عناصر گرامری زبان VHDL
شناسه ها
کلمات کلیدی (رزرو شده)
اعداد
کاراکترها رشته ها و رشته های بیتی
شناسه ها
کلماتی برای نام گذاری مولفه های مختلف مدل VHDL
 نام سیگنال های ورودی
 نام سیگنال های خروجی
 نام entity
 نامarchitecture
قوانین نامگذاری شناسه های اصلی
نام شناسه اختیاری است
فقط شامل حروف الفبا و ارقام (A..Z و a..z و0..9) و کاراکتر '_'
اولین کاراکتر حتما باید از حروف الفبا باشد
آخرین کاراکتر نباید '_' باشد
دو کاراکتر '_' دنبال هم غیر مجاز
به حروف بزرگ و کوچک حساس نیست And2) =AND2 = and2)
یک شناسه هر طولی می تواند داشته باشد
مثال شناسه صحیح:X10 ، x_10 ، My_gate1
مثال شناسه غلط: _X10 ، my_gate@input، gate-input
قوانین گسترش یافته تعریف شناسه های
بین دو عدد '\' قرار می گیرند
به حروف بزرگ و کوچک حساس
کلمات رزرو شده و شناسه های اصلی در شناسه های گسترش مجاز و بعنوان یک شناسه متفاوت در نظر گرفته می شود
بین هر دو '\' استفاده از هر کاراکتری و با هر ترتیبی مجاز است
شناسه ای بنام BUS:\data را باید بنویسیم \BUS:\\data\
درنسخه VHDL-93 تعریف شده است ولی درنسخه VHDL-87 شناخته شده نیست
مثال صحیح: Input، \Input\ ، \input#1\ ، \Rst\\as\
کلمات کلیدی (رزرو شده)
شناسه های که بوسیله سیستم برای کار خاص استفاده می شود
مثل in، out، or، and، port، map، end و غیره
در شناسه توسعه یافته مجاز است(\end\)
مراجعه کنید به جدول 4-1 (کلیه کلمات رزرو شدة VHDL )
اعداد
اعداد صحیح : 12 10 256E3 12e+6
اعداد حقیقی: 1.2 256.24 3.14E-2
پیش فرض مبنای 10 است وگرنه به صورت base#number#
مثال: معادل عدد 18
در مبنای 2: 2#10010#
در مبنای 16: 16#12#
در مبنای 8: 8#22#

 

بمنظور خوانایی
2#1001_1101_1100_0010# 215_123
کاراکترها رشته ها و رشته های بیتی
کاراکترها (داخل یک جفت گیومه تکی )
'a' 'B' ',‘
رشته ( داخل یک جفت گیومه دوتایی (
"This is a string“
هر کاراکتر قابل چاپ مجاز است (حتی خود" )
"This is a ""String""."

 

رشته بیتی
یک رشته بیتی یک توالی از بیتها است
بمنظور تمایز از رشتة کاراکتری در ابتدای آن B می گذارند
B”1001”
رشته بیتی در مبنای 16 یا 8 هم نوشته می شود
Binary: B”1100_1001”, b”1001011”
Hexagonal: X”C9”, X”4b”
Octal: O”311”, o”113”
توجه کنید دو مقدار با طول نامساوی نامساویند
b”1001011” ≠ X”4b”
O”113” ≠ X”4b”

 

 

فرمت این مقاله به صورت Word و با قابلیت ویرایش میباشد

تعداد صفحات این مقاله 22   صفحه

پس از پرداخت ، میتوانید مقاله را به صورت انلاین دانلود کنید


دانلود با لینک مستقیم


دانلود مقاله زبان توصیف سخت افزارVHDL